Verilog

Verilog是一种硬件描述语言(HDL)。它是一种计算机语言,用于描述电子电路的结构和行为。1983年,Verilog语言开始作为Gateway Design Automation Inc的硬件建模专有语言,后来在1995年成为IEEE标准1364,并开始得到广泛使用。Verilog是基于模块级测试平台的。

SystemVerilog

SystemVerilog是硬件描述语言(HDL)和硬件验证语言(HVL)的组合,并被称为HDVL。意味着它描述了电子电路的结构和行为,同时也验证了用硬件描述语言编写的电子电路。SystemVerilog作为Verilog的超集,在2005年对Verilog语言进行了大量的扩展,并成为IEEE1800标准,在2012年再次更新为IEEE1800-2012标准。SystemVerilog是基于类级测试平台的,其性质更加动态。

Verilog和SystemVerilog之间的区别 –

编号 Verilog SystemVerilog
1 Verilog是一种硬件描述语言(HDL)。 SystemVerilog是硬件描述语言(HDL)和硬件验证语言(HVL)的结合。
2 Verilog语言被用来构造和模拟电子系统。 SystemVerilog语言用于建模、设计、仿真、测试和实现电子系统。
3 Verilog支持结构化范式。 SystemVerilog支持结构化和面向对象范式。
4 Verilog是基于模块级的测试平台。 SystemVerilog是基于类级别的测试平台。
5 Verilog是以IEEE 1364为标准的。 SystemVerilog的标准是IEEE 1800-2012。
6 Verilog受到了C语言和Fortran编程语言的影响。 SystemVerilog是基于Verilog、VHDL和C++编程语言。
7 Verilog的文件扩展名为.v或.vh SystemVerilog的文件扩展名为.sv或.svh
8 Verilog支持Wire和Reg数据类型。 SystemVerilog支持各种数据类型,如enum、union、struct、string、class。
9 Verilog是基于模块的层次结构。 SystemVerilog以类为基础。
10 Verilog开始于1983年,作为硬件建模的专有语言。 SystemVerilog最初是在2005年作为Verilog的扩展。